CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ISE Verilog

搜索资源列表

  1. foundatonise

    0下载:
  2. WATCHVER is a top level Verilog type project of a Stop Watch. DESIGN TYPE: Foundation ISE (chip V50 BG256 -6) -WATCHVER is a top level Verilog type project of a Stop Watch. DESIGN TYPE: Foundation ISE (chip V50 BG256-6)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:123806
    • 提供者:SEEDSTART
  1. data_check_hand_in

    0下载:
  2. 一个基于状态机的8位码流检测实现,Verilog语言,在ISE 10.1环境下编译通过。-A state machine-based 8-bit code stream detection to achieve, Verilog language, the ISE 10.1 environment compile.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:73741
    • 提供者:李长
  1. pseudo-randomcodegenerator

    0下载:
  2. VERILOG语言编写的伪随机码产生器,可以ISE中编绎调试-VERILOG language of pseudo-random code generator, you can unravel ISE in debugging code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:868646
    • 提供者:xiangxj
  1. clock

    0下载:
  2. XPS做时钟的配置过程基于EXCD-1开发板,其实是基于xilinx的ISE来开发的,但是开发环境没有这个就这能选VHDL,另外是verilog的,呵呵。希望大家能够真正用上,挺好的“基于ISE的时钟”-XPS to do the configuration process is based on the clock EXCD-1 development board, in fact, is based on the xilinx the ISE to develop, but not the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2778804
    • 提供者:江源
  1. Leg8

    0下载:
  2. 待商业化的8位高速cpu芯片设计,verilog语言编译通过,ISE平台完成-To be commercial cpu 8-bit high-speed chip design, verilog language compiler, ISE platform to complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:876285
    • 提供者:张德志
  1. finial_test

    2下载:
  2. 卷积码和Viterbi译码的源程序,在Xilinx ISE环境下使用Verilog编写,有助于卷积码和Viterbi译码的学习-Convolutional codes and Viterbi decoding of the source, in the Xilinx ISE environment, use of Verilog prepared to help convolutional codes and Viterbi decoding of the study
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-13
    • 文件大小:5588970
    • 提供者:lxz
  1. Mux4

    0下载:
  2. Mux is designed by verilog use ISE of xilinx.have 4 input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:658939
    • 提供者:Tầ n Long
  1. adder_32bit

    0下载:
  2. 以ISE为平台,用Verilog编写的32位全加器模块,只需在Top模块中调用即可-The ISE as a platform, written with Verilog 32-bit full adder module, simply call the module to Top
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1716
    • 提供者:熊思源
  1. i2c

    0下载:
  2. verilog语言实现i2c,在ise中调试仿真-verilog language i2c, debugging simulation in ise
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:390887
    • 提供者:xiangxj
  1. counter

    0下载:
  2. 本文介绍了基于FPGA的数字频率计的设计方法,设计采用硬件描述语言Verilog ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。-This article describes the FPGA-based digital frequency meter design method using hardware descr iption language Verilog, ISE on t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1879701
    • 提供者:PengJ
  1. top

    0下载:
  2. 在ISE环境里,用verilog语言编写得数码管显示程序,能动态计数-In the ISE environment, use the verilog language digital display program was able to dynamically count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:852
    • 提供者:厉恩鹏
  1. AMI

    1下载:
  2. 在ISE软件环境下,用Verilog HDL语言实现通信中的AMI码的编码和译码,并有仿真波形。-In the ISE software environment, using Verilog HDL language for communication in the AMI code encoding and decoding, and a simulation waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-08
    • 文件大小:240640
    • 提供者:xuwen
  1. shuzipaobiao

    0下载:
  2. 在ISE环境下用Verilog HDL写的一个简易的数字跑表,最大量程为60分钟,精确到毫秒级,有复位键和暂停键。-In the ISE environment, using Verilog HDL to write a simple digital stopwatch, the maximum range is 60 minutes, accurate to the millisecond, the reset button and pause button.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:785712
    • 提供者:xuwen
  1. traffic-light-FSM

    0下载:
  2. 在ISE环境下用Verilog代码分别用一段式和三段式来实现交通灯,并产生仿真波形。-In the ISE environment, were used in Verilog code to implement a three-stage type and traffic lights, and generate the simulation waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1829350
    • 提供者:xuwen
  1. can-bus

    0下载:
  2. CAN总线控制器的VERILOG工程文件,很实用,工程是ISE可以打开,也可以只使用工程里面的代码-can bus project with VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1097639
    • 提供者:mike
  1. DDS

    0下载:
  2. 在ISE环境中,运用verilog语言实现DDS(直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写)的功能-In the ISE environment, use verilog language DDS (direct digital frequency synthesizer (Direct Digital Synthesizer) in abbreviation) of the function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:371904
    • 提供者:xiao
  1. verilog_lab_solution

    0下载:
  2. Verilog 实验代码。。。经典的,里面都是完整的项目文件。 ISE环境。-Verilog test code. . . Classic, which is a complete project file. ISE environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7292809
    • 提供者:jacklee
  1. usb_latest.tar

    0下载:
  2. USB 源码。Verilog实现的USB程序,用ISE打开工程文件即可-USB verilog code。-Verilog implementation USB program, open the project file with the ISE can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:195880
    • 提供者:大斌
  1. filer_pipeline

    0下载:
  2. 基于流水线的滤波器的设计与实现,verilog代码,xilinx,ISE,-Based on the assembly line of the design and realization of the filter, verilog code, xilinx, ISE,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:791
    • 提供者:洪依
  1. sincount

    0下载:
  2. 用verilog语言开发的,ise产生正弦波的工程文件-Ise generate the triangular wave file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20614009
    • 提供者:赵海权
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 16 »
搜珍网 www.dssz.com